新闻  |   论坛  |   博客  |   在线研讨会
关于modelsim的使用
paradoxfx | 2010-05-30 21:47:06    阅读:857   发布文章

由于modelsim命令模式查看波形不方便,所以我们在仿真结束后查看波形进行查看,就需要存储波形。下面是网上的一点东西,给我的仿真带来了方便。
VCD system task calls in the Verilgsource code.
.可以通过在源代码中添加语句来实现
initial begin
$Dumpfile("./test.fsdb");
$Dumpvars(0,test);
#60000000 $finish;
end
.也可以通过modelsim的命令来实现
vcdadd (CR-200) $dumpvars 转储层次信号
vcdfile (CR-210) $dumpfile 打开一个文件准备转储波形数据
vcdoff (CR-216) $dumpoff 停止转储
vcdon (CR-217) $dumpon 开始转储
modelsim 命令行模式举例:
vlib work
vmap work work
vlog -wrok work testbench.v
vsim -c work.testbench << !
        vrun -all
        quit -f
        !
 
上面的脚本可以做成一个do文件执行。

 

*博客内容为网友个人发布,仅代表博主个人观点,如有侵权请联系工作人员删除。

参与讨论
登录后参与讨论
分享---创造---共赢
最近文章
算法*比喻
2010-06-16 11:09:36
纸张大小说明
2010-06-15 09:10:49
推荐文章
最近访客