新闻  |   论坛  |   博客  |   在线研讨会
分享:modelsim下编译xilinx库的方法
paradoxfx | 2010-06-15 09:07:17    阅读:1787   发布文章

建立ModelSim SE 的Xilinx仿真库方法,从网上搜到的有很多,实践过其中几种,其中一种较简单的方法如下:
1)当然是要安装ModelSim 和ISE 。

2)将ModelSim根目录下的modelsim.ini文件的只读属性去掉。
3)在ModelSim命令窗口输入:compxlib -s mti_se -arch all -l vhdl -w -lib all
按回车键即可。
说明:上述命令行中的vhdl 表示vhdl语言,如将此处改为verilog,则生成verilog的库。

4)将ModelSim根目录下的modelsim.ini文件设为只读。

完成上述步骤,重新启动modelsim,即可在libarary栏中看到已生成的Xilinx库

*博客内容为网友个人发布,仅代表博主个人观点,如有侵权请联系工作人员删除。

参与讨论
登录后参与讨论
分享---创造---共赢
最近文章
算法*比喻
2010-06-16 11:09:36
纸张大小说明
2010-06-15 09:10:49
推荐文章
最近访客